Cuál es el mejor software para simulación Verilog/VHDL?

main-qimg-8eef1126f92bbd1ebff74830c932c056

(imagen de captura de forma de onda)

¿Cuáles son los criterios para el mejor?

  • Estabilidad de la herramienta, compilación, elab, simulación y visor de forma de onda.
  • Calidad de los mensajes de error: cómo de útiles.
  • Siempre en línea con el LRM o no (manual de referencia del lenguaje, definiendo las reglas de sintaxis correctas).
  • Características para la depuración y el trazado de objetos HDL.
  • Salida en formato de forma de onda compatible, como VCD.
  • Niveles de optimización y el aumento de posibles errores relacionados con los niveles.
  • Uso de memoria, uso de procesador y uso de disco para RTL, así como simulaciones de nivel de puerta con anotaciones posteriores.
  • Interfaz de lenguajes extranjeros, cuántos lenguajes soportados y sus necesidades de licencias asociadas a ellos.

Vendedores de EDS

Synopsys, Mentor, Cadence y Aldec son los que me vienen a la mente ahora mismo. Las herramientas que ofrecen son caras. El desarrollo de ASIC es caro y la necesidad de herramientas de calidad y soporte hace que el coste de las licencias de las herramientas EDA sea asequible. En el caso de las FPGA, las herramientas gratuitas de los proveedores de FPGA incluyen simuladores, pero siempre se obtiene lo que se paga. Siempre se puede obtener una versión de pago de las herramientas FPGA, pero el rendimiento es inferior al de las herramientas EDA para ASIC. El uso de una herramienta EDA de síntesis para ASIC con librerías FPGA hace un trabajo significativamente mejor que la síntesis FPGA incorporada, por lo que el simulador tendrá un rendimiento similar, de lo contrario todo el mundo compraría las herramientas FPGA más baratas para hacer su trabajo ASIC, por así decirlo.

Hay simuladores de código abierto para VHDL y Verilog también.

Espacio Quora: Aceleradores HW comiendo IA (más de 8K profesionales siguiendo mi espacio).

Mi blog en Quora: System On Chip blog